開発日記

Erlangをダラダラ書きます。

Verilogのシミュレーション(Vivado),メモ

コマンド

  • xvlog

  • xelab

  • xsim

GUI

xsimでguiオプションをつけて表示.

  1. 波形を選択

  2. 右クリックからAdd to Wave Window

  3. ドラッグ&ドロップで他にも信号を追加

  4. Run All でシミュレーション実行

  5. 波形確認

  6. 信号追加

  7. Restart から Run All

  8. 波形を右クリック,Radixから16進数を変更できる.