開発日記

Erlangをダラダラ書きます。

2021-11-14から1日間の記事一覧

モジュール間の依存性の排除,System verilog

メリット 流れ interfaceA,Bで異なる処理を実装 モジュールCでA,Bの共通の処理を実装. メインモジュールでinterfaceをインスタンス化し渡す. 参考 メリット 新しい処理を追加したければ,新たなinterfaceを作成して,メインモジュールで繋げるだけで良い.…